工业软件EDA深度研究海外巨头的成功之路

(报告出品方/作者:东方证券,浦俊懿)

一、EDA基本介绍

1.1EDA是集成电路设计的基础工具

EDA(ElectronicDesignAutomation,电子设计自动化)是指利用计算机软件完成大规模集成电路的设计、仿真、验证等流程的设计方式,融合了图形学、计算数学、微电子学,拓扑逻辑学、材料学及人工智能等技术。随着集成电路产业的发展,设计规模越来越大,制造工艺越来越复杂,设计师依靠手工难以完成相关工作,必须依靠EDA工具完成电路设计、版图设计、版图验证、能分析等工作。EDA软件作为集成电路领域的上游基础工具,贯穿于集成电路设计、制造、封测等环节,是集成电路产业的战略基础支柱之一。

EDA作为集成电路设计的基础工具,大致经历了四个发展阶段,目前已在计算机、通信、航天航等领域发挥着重要作用。在20世纪70年代,由于当时电路集成度不高,设计人员可以依靠工电路图的输入、布局和布线。但随着集成电路产业的快速发展,面对现今已达万亿门级的集成度,再凭手工完成电路设计已具有极高的难度。在此期间,EDA从一开始的通用CAD辅助电子设计,逐步走上了专业化、商业化的道路,EDA技术上也不断实现突破,软件工具功能愈发强大。

EDA主要对现代集成电路设计和制造环节形成支撑。一个完整的集成电路设计和制造流程主要包工艺平台开发、集成电路设计和集成电路制造三个阶段,而这三个阶段均需要对应的EDA工具作为支撑,包括用于支撑工艺平台开发和集成电路制造两个阶段的制造类EDA工具以及支撑集成电路设计阶段的设计类EDA工具。同时,EDA是连接设计和制造两个环节的纽带和桥梁,如集成电路设计企业需通过加载晶圆厂提供的特定工艺平台的PDK(或IP和标准单元库),获取电路设的必要信息和数据,进而开展设计工作,而PDK生成及验证环节是需要EDA支撑的。

根据EDA工具使用阶段可以分为集成电路制造类EDA工具和集成电路设计类EDA工具两个主要大类。制造类EDA工具主要用于集成电路制造的工艺平台开发阶段及晶圆生产阶段,以此可进一步划分为两类EDA;设计类EDA工具主要用于集成电路的设计阶段,按电路类型进一步划分为数字集成电路EDA和模拟集成电路EDA两大类。

1集成电路制造类EDA工具:主要指晶圆厂(包括晶圆代工厂、IDM的制造部门等)在台开发阶段和晶圆生产阶段使用的,用于支撑其完成半导体器件/制造工艺开发、器件建模和PDK、集成电路制造等环节的EDA工具。该等工具能够帮助晶圆厂完成半导体器件和制造工艺的设计,建立半导体器件的模型并通过PDK或建立IP和标准单元库等方式提供给集成路设计企业,并在后续根据物理实现后的设计文件完成制造时,优化制造流程,提高量产良率。

2集成电路设计类EDA工具:根据集成电路处理的信号不同,可分为数字集成电路设计类EDA工具(数字EDA工具)和模拟集成电路设计类EDA工具(模拟EDA工具)。电学中,将连续变化的电压、电流等物理量称为模拟信号,而离散变化的电压、电流则称为数字信号。由于处理上述两类不同信号的集成电路在形态、功能、设计流程及设计方法学等方面上差异较大,因此可按照模拟电路和数字电路各自在设计时所使用的EDA工具产品进行分类。

IC设计可大致分为全定制与半定制设计,EDA能对两类设计流程实现全面覆盖。

1全定制设计是指基于晶体管级,所有器件和互连版图都用手工生成的设计方法,这种方法比较适合大批量生产、要求集成度高、速度快、面积小、功耗低的通用IC或ASIC,因此全定制设计一般用来设计模拟电路及数模混合电路。

2半定制设计是基于门阵列(gate-array)和标准单元(standard-cell)的,由于其成本低、周期短、芯片利用率低而适合于小批量、速度快的芯片,因此半定制设计方法一般用来设计数字路。半定制设计可以进一步分为前端设计与后端设计:(1)前端设计又称逻辑设计,指从输入需求到输出网表的过程,主要包括流程包括规格制定、详细设计、HDL编码、仿真验证、辑综合、静态时序分析、形式验证等环节;(2)后端设计又称物理设计,指从输入网表到出物理版图(GDSII形式)的过程,包括主要流程包括可测性设计、布局规划、时钟树综合、布线、寄生参数提取、版图物理验证等环节。

1.2EDA是集成电路产业的基石

目前,集成电路产业链主要包括上游支撑层、中游制造层及下游应用层等:

1产业链上游为支撑层,主要包括技术服务商、软件供应商、材料及设备供应商等。其中,技术服务商针对集成电路设计、生产、测试、封装及技术研发等环节提供各类模块化/专业化技术服务;软件供应商主要从事设计工具开发、销售和服务;材料及设备供应商提供集成电路设计和制造全过程所需的硅片、光刻胶、掩模版等原材料,以及硅片制造、晶圆制造、封测等专用设备。

2产业链中游为制造层,主要包括集成电路设计、生产、封装和测试企业。其中,集成电路设计企业通过对集成电路系统、逻辑、电路和性能的研究设计,最终转化为物理设计版图;集成电路生产企业负责晶圆生产,利用设计版图制作光掩模版,并以多次光刻的方法将电路图形呈现于晶圆上,最终在晶圆表面/内部形成立体电路;集成电路封装企业主要将加工完成的晶圆,进行切割、封塑和包装,以保护管芯并最终形成芯片产品;集成电路测试企业主要对芯片的可靠性、稳定性等进行检测。

3产业链下游包括各应用领域的系统厂商或制造商。该等企业最终将各类芯片成品集成于自身产品(如工业产品、消费电子产品、计算机相关产品、通信及周边产品)中并投入市场。EDA属于集成电路产业链上游支撑层中的软件工具类,是整个集成电路产业的核心环节之一。目前,EDA工具软件已广泛运用于产业链中游的设计、生产、封装、测试等环节。

EDA对行业生产效率、产品技术水平有重要影响。从集成电路设计的角度看,设计人员必须使用EDA工具设计几十万到数十亿晶体管的复杂集成电路,以减少设计偏差、提高流片成功率及节省流片费用。EDA行业的市场状况与集成电路设计业的发展状况紧密相关,每年EDA市场表现情况与设计企业营收状况具有高度一致性。从集成电路制造的角度看,芯片制造工艺不断演进,而新材料、新工艺相关的下一代制造封测EDA技术将给集成电路性能提升、尺寸缩减带来新的发展机遇。

芯片/集成电路产业呈现倒金字塔状,EDA处于基石地位,支撑着规模庞大的数字经济。从市场价值来看,根据赛迪智库数据,年EDA行业的全球市场规模超过70亿美元,却支撑着数十万亿规模的数字经济。在中国这个全球规模最大、增速最快的集成电路市场,EDA杠杆效应更大。可以想象,一旦EDA这一产业基础出现问题,包括集成电路设计企业在内的全球集成电路产业必将受到重大影响,由EDA工具、集成电路、电子系统、数字经济等构成的倒金字塔产业链结构稳定将面临巨大挑战。

EDA技术让更大规模的集成电路成为可能,并能极大地降低软件设计成本。随着现在的芯片越来越复杂,目前最常用的SOC的晶体管个数更是动辄就是几亿,甚至上十亿,其设计的复杂度决定了必须要由EDA完成。此外,根据加州大学圣迭戈分校AndrewKahng教授在年的推测,年设计一款消费级应用处理器芯片的成本约万美元,如果不考虑年至年的EDA技术进步,相关设计成本可能高达77亿美元,EDA技术进步让设计效率提升近倍。EDA工具的发展从整体上提升了芯片设计的效率,从而平抑了芯片设计的总体成本。

IP是现代集成电路设计与开发工作中不可或缺的要素。IP核(IntellectualPropertyCore)是指在半导体集成电路设计中那些可以重复使用的、具有自主知识产权功能的设计模块。随着超大规模集成电路设计、制造技术的发展,集成电路设计步入SoC时代,设计变得日益复杂,利用预先设计、验证好的功能模块就可大幅提升设计效率。以IP复用、软硬件协同设计和超深亚微米/纳米级设计为技术支撑的SoC已成为当今超大规模集成电路的主流方向,当前国际上绝大部分SoC都是基于多种不同IP组合进行设计的。

EDA公司同样具备为下游客户提供丰富IP方案的能力。EDA公司下游客户包括众多的设计公司,为了提高设计效率,他们无需对芯片每个细节进行设计,通过购买IP方案就可以实现某个特定功能,而如何选择IP方案就成为了关键。与EDA的生态类似,客户往往会成熟可靠的IP方案以及IP供应商,客户粘性较大。若EDA公司将IP授权与EDA销售捆绑在一起,就不仅能为客户提供更加完整高效的芯片设计方案,还能提升客户粘性与品牌竞争力,进一步推动EDA与IP生态的完善。目前,IP授权已经成为Synopsys与Cadence两大EDA巨头的重要收入来源。

1.3EDA未来两大发展趋势:EDA+云、EDA+AI

后摩尔时代的集成电路技术演进方向主要包括:

1面向延续摩尔定律(MoreMoore)方向:单芯片的集成规模呈现爆发性增长,为EDA工具的设计效率提出了更高的要求。

2面向扩展摩尔定律(MorethanMoore)方向:伴随逻辑、模拟、存储等功能被叠加到同一芯片,EDA工具需具备对复杂功能设计的更强支撑能力。

3面向超越摩尔定律(BeyondMoore)方向:新工艺、新材料、新器件等的应用要求EDA工具的发展在仿真、验证等关键环节实现方法学的创新。

后摩尔时代技术从单芯片的集成规模、功能集成、工艺、材料等方面的演进驱动着EDA技术的进步和其应用的延伸拓展。

云化及平台化是行业的重要趋势。随着IC设计复杂度的不断提升,IC设计公司都会面临计算资源需求激增、EDA峰值性能需求难以被满足,深工艺数据迁移的消耗成本,多项目并行发生的资源抢夺以及办公地点限制带来的效率影响等,这些问题都会直接影响芯片的研发周期以及研发成本。IC设计上云能平滑多项目并行带来的资源抢夺问题,降低EDA的购买成本,进而提升研发整体的效率。

此外,过去安全隐患一直是限制IC设计上云的关键阻碍,近年来,伴随相关技术的逐渐成熟,用户使用习惯的改善,“云计算+EDA工具”的模式开始逐渐得到认可。例如,微软就与MentorGraphics、台积电、AMD多方合作,在微软云Azure上验证了7nm的芯片设计;Synopsys与三星合作推出了SAFE云设计平台,共同为SamsungFoundry的客户提供可拓展的安全的云端设计环境,在该环境中可实现IC设计和验证、全数字和模拟流程。

AI将更好地实现EDA设计中算力、资源的分配,AI与EDA融合是另一重要的行业发展趋势。近年来,伴随芯片设计基础数据量的不断增加、系统运算能力的阶跃式上升,这让AI技术在EDA领域的应用的需求逐步上升。借助AI算法,EDA工具可以帮助客户实现最优化的功耗、性能、面积目标,大幅提升芯片设计验证效率,助力芯片设计企业提升产品研发效率,以开发性能更高的终端产品。

年,美国国防部高级研究计划局(DARPA)推出的“电子复兴计划(ERI)”中的电子设备智能设计(IDEA)项目,描绘出新的AI技术赋能EDA工具发展目标与方向。其中,提出的目标是实现“设计工具在版图设计中无人干预的能力”,即通过人工智能和机器学习的方法将设计经验固化,进而形成统一的版图生成器,以期实现通过版图生成器在24小时之内完成SoC(系统级芯片)、SiP(系统级封装)和印刷电路板(PCB)的版图设计。

海外EDA巨头正积极布局AI技术。年3月,Synopsys推出业界首个用于AI自主芯片设计解决方案——DSO.ai,可以帮助设计团队优化决策流程,让芯片设计团队接近专家级水平进行操作。DSO.ai也被瑞萨电子引入到其先进的汽车芯片设计环境,以实现更好的PPA解决方案。年7月,Cadence推出首款基于机器学习的设计工具——Cerebrus,这款工具可以扩展数字芯片设计流程并使之自动化,相较人工方法可将工程生产力提高多达10倍,同时最多可将功耗、性能和面积(PPA)结果改善20%,以快速满足包括消费电子、超大规模计算、5G通信、汽车和移动等广泛市场的设计要求。

二、EDA行业及竞争格局分析

2.1行业规模:整体平稳增长,国内市场增速高于全球

近年来,集成电路产业市场保持平稳增长。根据世界半导体贸易统计组织(WSTS)统计,从年到年,全球半导体市场规模从亿美元提升至亿美元,年均复合增长率达4.62%。从年到年,全球集成电路市场规模从亿美元提升至亿美元,年均复合增长率达4.50%。

我国集成电路市场增速快于全球。根据中国半导体行业协会统计,从年到年,中国集成电路市场规模从亿元提升至亿元,年均复合增长率达19.65%,保持了稳定增长,且增速明显高于全球。

集成电路产业链上下游分工逐步深化,集成电路设计的重要性不断凸显。为了适应技术的发展和市场的需求,集成电路的产业模式经历了由垂直整合模式(IntegratedDeviceManufacture,IDM模式)到专业分工商业模式的发展历程。伴随集成电路制造工艺水平的提高,企业固定资产支出中对生产线的资金要求呈现几何级增长,多数采用IDM模式经营的中小规模集成电路企业愈发无力承担研发、生产费用带来的经营风险,高额的生产线建设费用也限制了许多试图进入集成电路领域的创业者。为适应市场对定制化芯片的增量需求,集成电路产业专业分工模式逐渐普及。在市场应用多元化趋势更加显著、芯片产品研发效率要求不断提升的驱动下,专业分工模式正在逐步成为集成电路市场主流。在这一过程中,集成电路设计这一细分领域的重要性不断凸显。(报告来源:未来智库)

全球EDA行业稳定向好,亚太市场快速增长。在近年来全球集成电路产业基本保持稳定向好的发展态势下,近年全球EDA工具总销售额保持稳定上涨,年实现总销售额72.3亿美元,同比增长10.7%。根据赛迪智库统计,在年全球各地区EDA市场销售额方面,北美约占40.9%,亚太地区约占42.1%,欧洲地区约占17%。目前北美地区是EDA技术最为发达的地区,而中国大陆地区集成电路设计业的快速发展带动了亚太地区EDA工具销售额的增长。

我国EDA市场增速高于全球水平,本土EDA企业市占率较低。根据赛迪智库,年,我国EDA行业总销售额约为66.2亿元,同比增长19.9%,实现连续增长,增速高于全球范围内10.7%的水平。其中,我国自主EDA工具企业在本土市场营业收入约为7.6亿元,同比增幅65.2%,目前还是以境内销售为主。通过EDA国内市场总销售额及国产EDA国内市场销售额可以计算得到,-年我国国产EDA工具在国内市场销售份额分别为6.2%、8.3%、11.5%,尽管市占率逐步提高,但仍处于较低水平,可见海外厂商依旧占据绝对优势。

2.2竞争格局:海外三巨头优势明显

从全球范围内的竞争格局来看,楷登电子、新思科技和西门子EDA具有明显的优势,国产EDA厂商距第一梯队还有一定差距。EDA行业市场集中度较高,全球EDA行业主要由楷登电子、新思科技和西门子EDA垄断,上述三家公司属于具有显著领先优势的第一梯队,共占据了全球市场78%的份额。华大九天与其他几家企业,凭借部分领域的全流程工具或在局部领域的领先优势,位列全球EDA行业的第二梯队,共占据了全球市场15%的份额。第三梯队的企业主要聚焦于某些特定领域或用途的点工具,整体规模和产品完整度与前两大梯队的企业存在明显的差距。

对于国内EDA市场,目前仍由国际三巨头占据绝对主导地位。根据赛迪智库数据,年国内EDA市场销售额约80%由国际三巨头占据,国内EDA供应商目前所占市场份额较小。华大九天为本土EDA龙头企业,仅占国内约6%的份额。

华大九天凭借模拟电路设计全流程EDA工具系统、数字电路设计EDA工具、平板显示电路设计全流程EDA工具系统和晶圆制造EDA工具等领域的优势,通过十余年发展再创新,不断获得市场突破。年华大九天占领我国EDA市场约5.9%的市场份额,居本土EDA企业首位,本土企业份额占比保持在50%以上。

国内EDA企业难以提供全流程产品,但在部分细分领域具有优势,个别点工具功能强大。例如华大九天是世界唯一提供全流程FPD设计解决方案的供应商,具有较强市场竞争力。概伦电子在SPICE建模工具及噪声测试系统方面技术处于领先地位,业内称“黄金标准”。广立微电子在良率分析和工艺检测的测试机方面产品具有明显优势。

目前国内EDA企业和海外巨头的差距明显,三巨头建立起了坚实的竞争壁垒,究其原因主要有以下几点:

1海外巨头通过持续的收并购完善产品矩阵,逐步形成全工具链、全流程的覆盖。EDA产业技术流程很长,需要种类繁多的软硬件工具配合从而形成工具链。目前,海外EDA三巨头均已覆盖了芯片设计所有环节,并选择了相应主攻的细分领域,打造了自身的明星产品。而国内的EDA公司只是在“点工具”上有所突破,做得相对较好的也只是突破了多个“点工具”,仅有几家公司初步形成了较为完善的工具链,总体来说国内EDA技术积累较浅。

收并购是EDA海外龙头产品演进扩张的重要手段。历史上,海外三巨头共发起过多次收并购,其中Synopsys次数高达80次,为三家中最多。正是通过不断地兼并和收购,三家公司不断扩张自身的业务和产品线边界,使规模快速扩大。以Synopsys为例,早在年公司就收购了Zycad公司的VHDL仿真业务,并推出了测试综合产品;2年,公司收购了刚结束与Cadence多年诉讼的Avanti,一举补齐了数字集成电路EDA全流程所需要的团队和技术,成为历史上第一家可以提供顶级前后端完整IC设计方案的领先EDA工具供应商,改变了传统上“Synopsys占前端,Cadence占后端”的格局,也为后续公司市场份额超过Cadence打下坚实基础。Cadence是年由ECADSystems和SDASystems两个公司合并而成,SiemensEDA也是西门子于年收购Mentor成立的,可见收并购是EDA发展史上重要的部分。

2下游客户集中度高,与头部厂商深度绑定推进工艺领先。EDA企业主要客户包括产业链上游的IC设计企业(Fabless)及中游的晶圆制造企业(Foundry),市场集中度高。全球范围内主要的IC设计企业包括高通、博通、英伟达等,年全球前十大IC设计公司(Fabless)收入共计达到.74亿美元。若按照全球IC设计规模亿美元来算,年全球前十大IC设计公司市占率达到67.22%,集中度高。从收入来看,年世界范围内前十大晶圆制造企业的市场集中率高达95.68%。

海外巨头与其头部客户深度绑定,共同打造生态,实现EDA技术与制程和工艺同步、持续地更新。海外EDA巨头具有完整的EDA产品线与工具链,并利用丰富的IP库打造了完善的IC设计生态,而IP授权对于Fabless客户的研发是不可或缺的,因此领先的工具与完备的生态也进一步提升了客户的黏性。同时对于头部Foundry,EDA海外三巨头也实现了深度捆绑。由于制程和工艺在摩尔定律的推动下不断更新,在这个过程中Foundry也需要支持先进制程的EDA软件,这也带动了EDA工具的不断迭代和更新,因此EDA头部厂商能实现“强者恒强”。目前国内厂商缺乏与头部Foundry的深度合作,国产EDA产品难以匹配最先进的工艺,这也导致本土企业难以进入高端芯片设计领域。

3国内企业与海外龙头在研发投入与人才储备方面存在差距。EDA工具的迭代升级需要长期、大量的资金投入,海外龙头均保持了极高的研发投入水平。EDA是算法密集型的大型工业软件系统,其开发过程涉及计算机、数学、物理、电子电路、工艺等多种学科和专业,因此EDA技术的不断的突破需要通过较长时间的技术研发和专利积累才能逐步实现,这也是海外龙头即使占据了绝对垄断地位还依旧不断加大基础研究和前沿技术研究力度的原因。从研发费用率来看,过去三年间海内外主要EDA企业研发费用率均高于30%。但在绝对投入上,国内外企业存在显著差距,如年Synopsys研发投入达到12.8亿美元,而华大九天仅1.8亿人民币,这也导致国产EDA工具与海外龙头依旧存在较大的差距。

国内EDA人才较为匮乏,与海外存在较大差距。EDA行业对于研发人员的知识背景、研发能力及经验积累均有较高要求,培养一名EDA研发人才往往需要10年左右的时间,海外EDA龙头均在世界范围内通过产研合作来锁定人才,Synopsys与Cadence也积极地与国内院校建立深入的合作关系。此外,行业内领先企业具备更高的知名度与更加完善的技术培训体系,对人才的吸引力较强;同时由于我国EDA企业还处于发展初期,国内行业整体薪酬偏低,本土人才流失较为严重。根据赛迪智库,年我国仅有余EDA人才,其中半数以上就职于外资企业。随着本土企业实力不断增长,未来注重产研结合及人才的薪资激励是关键。

2.3我国EDA产业未来将何去何从

我国EDA产业发展之路较为坎坷:

1上世纪八十年代中后期,国内开始投入EDA领域的研发。20世纪70至80年代,由于巴黎统筹委员会对中国实施的禁运管制,中国无法购买到国外的EDA工具。

2中国开始进行EDA技术的自主研发与攻关,并在年启动国产EDA工具“熊猫系统”的研发工作。90年代初,公司初始团队部分成员研发成功了中国历史上第一款具有自主知识产权的EDA工具——“熊猫ICCAD系统”,填补了我国在这一领域的空白。

3随后,我国EDA企业进入低谷期。由于国外解除了对我国EDA工具的封锁,国外EDA工具大量进入中国,缺少政策和市场支持的国内EDA工具研发和应用陷入低谷,这种情形也导致了国内集成电路产业对国外EDA工具的重度依赖。

48年后,国产EDA企业开始涌现。8年4月,国家科技重大专项“核心电子器件、高端通用芯片及基础软件产品”实施方案经国务院常务会议审议并原则通过。作为《国家中长期科学和技术发展规划纲要(6-年)》所确定的国家十六个科技重大专项之一,EDA行业重新获得了鼓励和扶持。8年以来,国内EDA领域涌现了华大九天、概伦电子、广立微电子、国微集团和芯和半导体等公司,中国本土EDA企业开始进入市场的主流视野。

中美科技摩擦加剧,EDA软件成为美国对华封锁的武器。年以来,美国对我国国内高科技企业的制裁力度不断加大,数次提高对国内部分高科技企业的限制级别,尤其在集成电路和EDA工具领域体现的较为明显。例如,年EDA三巨头终止了与华为海思的合作,为国产芯片的发展蒙上了一层阴影。国内集成电路设计及制造企业开始寻求实现EDA工具软件的进口替代。对于国内EDA厂商而言,这是一个化危为机的重要时刻。

当前国际形势下,逆全球化的潜在风险不断增加,使得工业生产的独立、安全、自主上升到国家安全层面。在这种环境下,国产工业软件的发展具有前所未有的战略性意义。美国对中国高新技术产业的限制逐步加深,给我国的集成电路产业带来了巨大挑战,但这种形势对于国内EDA厂商而言也意味着机遇。

目前,我国芯片设计企业所使用的EDA工具主要来自于西方国家,为实现国产替代,我国EDA企业任重道远。随着国际贸易环境及政策形势的日趋复杂,EDA工具的禁运已经成为西方国家对我国高科技产业发展进行限制的重要手段,对我国集成电路产业健康持续发展造成了重大影响。年2月19日,中央全面深化改革委员会第十八次会议提出,加快攻克重要领域“卡脖子”技术,有效突破产业瓶颈,牢牢把握创新发展主动权。为了创造稳定的产业发展环境、打造完善的集成电路供应链体系,我国亟需提升国产EDA工具软件技术水平,加速EDA工具国产化替代进程。

目前海外三巨头优势依旧明显,占据了市场绝大部分份额。我们认为以下几点有助于我国EDA企业缩小与海外巨头的差距:

1政策扶持:从海外EDA行业发展经验来看,政策扶持是必不可少的。年,美国国防高级研究计划局(DARPA)推出了一项为期5年、总值15亿美元的电子复兴计划(ERI),用以支持芯片技术的开发,美国国会也增加了对ERI的投入,每年额外注资1.5亿美元。由于当时集成电路在摩尔定律的驱动下,面临物理和经济极限,ERI推动着芯片产业进入了下一个创新阶段。目前,国内部分优质的集成电路企业得益于各项扶持政策,进入快速成长通道,在其各自细分领域实现国产替代,但仍需持续的产业政策来鼓励产品创新、落实知识产权保护制度并加强软件正版化的相关工作。

2产业融资方面:过去,由于行业投资回报期较久,因此较难有效吸引社会资金进入,致使本土EDA企业融资渠道相对狭窄,因此难以匹及海外龙头的研发投入。目前,国家鼓励商业性金融机构进一步改善金融服务,大力支持符合条件的集成电路企业和软件企业在境内外上市融资。国家及各级政府专项集成电路产业基金及国内市场化产业投资机构也开始加大对国内EDA企业的投资力度,减轻EDA企业高额研发投入的压力,并利用自身在集成电路产业的影响力促进产业上下游联动,提高EDA企业的市场竞争力。

3产研结合,注重人才培养:海外巨头通过产研结合,锁定了世界范围内EDA领域的优秀人才,而我国EDA人才相对匮乏。目前,我国多家高等院校开始与国内EDA企业开展深度产学研合作,设立EDA相关学院、学科或专业课程,并通过各类技能挑战赛、产教联盟等方式聚合产学优质资源,探索EDA核心关键技术,培育行业新生力量。

4打破壁垒,与下游先进工艺进行结合:国外三巨头与下游头部客户打造了完善的EDA服务生态,使EDA技术与工艺、制程相辅相成,共同发展。目前我国EDA厂商与国际Foundry的合作机会有限,与先进工艺的结合较为薄弱且明显滞后,在高端市场,尤其是高制程的数字芯片领域基本不具备竞争力。未来,随着国内厂商技术不断提高,需要积极寻找与下游先进工艺结合的机会。

5由点到面实现突破:目前我国EDA企业在局部环节具有优势,如华大九天的模拟电路仿真、概伦电子的SPICE建模领域等。以华大九天为例,根据招股说明书,公司将利用25.5亿募集资金中投入超过10亿到数字电路设计的相关方向,这也是本土EDA企业最薄弱的环节。公司也将投入4亿多元用于面向特定类型芯片设计的EDA工具开发项目,完善EDA工具类型。从华大九天可以看出,未来国内本土企业将致力于实现EDA全流程、全领域的覆盖。

三、海外主要EDA企业盘点

3.1Synopsys:综合实力最强的EDA龙头

Synopsys是全球EDA及半导体IP的头部厂商。年,GE微电子中心的AartdeGrus博士创立OptimalSolutions,致力于开发具备自动创建逻辑综合功能的Synthesis软件。次年,公司更名为Synopsys。年,公司收购Zycad,以此完善VHDL仿真技术业务,也开启了长达30余年的收并购史。2年,公司收购Avanti,成为历史上第一家可以提供顶级前后端完整IC设计方案的领先EDA工具供应商。8年,公司超越Cadence成为全球最大的EDA提供商。目前,公司已能提供最全面的EDA产品和成熟的半导体IP方案,其中EDA市场份额位居全球第一,半导体IP市场份额全球第二。

Synopsys营业收入及营业利润保持稳定增长。年,Synopsys实现营业收入36.85亿美元,同比增长9.66%,-年CAGR达11.06%,近年来随着物联网、云计算、智能汽车等领域的发展,芯片的应用范围扩大,公司的收入总体增长较为平稳。年公司实现营业利润6.70亿美元,同比增长20.74%,近两年增速均超过20%,保持了良好的势头。

从收入拆分来看,公司“EDA+IP”的模式逐渐清晰。年,公司EDA相关收入占比为57%,依旧为最大的收入来源;IP授权的占比达到33%,近年来占比逐步提升(年29%,年31%)。随着芯片复杂度的不断提升,IP核已经成为现今SoC设计的重要组成部分,公司也持续完善IP生态,与EDA技术形成优势互补,目前公司“EDA+IP”的收入占比已达90%左右。从收入的地区拆分来看,年中国为公司第二大市场,仅次于美国。

公司近年来盈利能力稳中有升,持续维持高研发投入。年,公司毛利率达78.44%,连续3年实现提升;公司营业利润率为18.19%,连续2年实现提升,公司整体盈利能力持续向好。此外,公司保持了极高的研发投入力度,-年公司研发支出分别为10.85、11.37、12.79亿元,研发费用率分别为34.76%、33.83%、34.71%,可见要实现EDA技术持续迭代和领先,大量的研发投入是必备条件之一。

公司EDA业务覆盖设计、验证、制造三大环节,产品线十分完善:

1设计领域:公司芯片设计业务主要包括融合设计平台(FusionDesignPlatform)及定制设计平台(CustomDesignPlatform)两大平台,以及3DIC设计、机器学习/AI设计、物理实现、RTL设计与综合、物理验证、signoff、流程/测试自动化、FPGA设计等产品。(1)FusionDesignPlatform平台由公司领先的大规模并行数字设计工具构建而成,增强了创新功能,使设计人员能够以业界理想的QoR(全流程成果质量)和TTR(完成时间)加速其下一代设计的交付,以应对云计算、汽车、移动和物联网等市场不断升级的挑战。(2)CustomDesignPlatform是一套统一的设计和验证工具套件,可加速开发高可靠性的定制和AMS设计。该平台基于CustomCompiler定制设计环境构建,具有业界领先的电路仿真性能,快速易用的版图编辑器,以及用于寄生参数提取、可靠性分析和物理验证的一流技术。

2验证领域:公司拥有全周期统一验证平台,使用业内领先的VCS仿真、Verdi调试、SpyGlass静态、VCFormal和经过硅验证的IP验证整个SoC,能使用户更早更快地找到SoCbug,更早启动软件,并验证整个系统。验证业务相关产品具体包括仿真、静态和形式验证、AMS验证、验证IP、SoC验证自动化、硬件仿真、FPGA验证等。

3制造领域:主要为晶圆厂提供硅工程工具及解决方案,以更早实现工艺开发、先进光刻技术以及良率管理。硅工程是芯片生成和电子设备创新的基础,公司的硅工程工具经过低至5nm及以下成熟和新兴工艺节点的生产验证,能在速度、面积、功耗、可测性和良率之间实现理想权衡,主要包括TCAD、光罩合成、光罩数据准备、良率管理和QuantumATK等工具。(报告来源:未来智库)

3.2Cadence:全球领先的EDA和IP供应商,产品覆盖电子设计全流程

公司是电子设计领域的关键领导者,通过收并购逐步实现从模拟IC到数字IC、芯片设计到PCB和IP业务的延伸。年,SDA与ECAD合并,Cadence成立。在发展初期,公司就通过收并购迅速补全领先的产品技术。年,Cadence收购TangentSystems,并推出时序驱动ASIC布局和布线工具,成为ICCAD的头号供应商。年,Cadence收购GatewayDesignAutomation,将Verilog语言引入公开应用领域,促进了原理图设计到硬件描述语言的转变。年,公司收购OrCAD,收获PCB设计软件及服务的最大客户群,开始进入PCB领域。年,公司


转载请注明:http://www.bainiangudus.com/gswh/24854.html

  • 上一篇文章:
  •   
  • 下一篇文章: 没有了